Diễn đàn

Cách biến đổi bongcloud ít rủi ro hơn

Sắp xếp:
nightmarerightnow

Bongcloud là một loại khai cuộc đi vua từ sớm nên vô cùng rủi ro,ngoài ra vua sẽ chặn đường tượng và hậu phát triển

Nếu bạn chơi không giỏi nhưng vẫn muốn thử chơi khai cuộc này thì bạn có biến tấu nó đi bằng cách đẩy tốt cột f rồi đi vua nên f2,khi đó vua bạn không cản đường triển của quân cờ mà còn có thể trở về góc bàn cờ sau khi phát triển mã tượng xe